Welcome![Sign In][Sign Up]
Location:
Search - verilog convolutional

Search list

[VHDL-FPGA-VerilogConvolutional encoding and Viterbi decoding with k

Description: 卷积码编码和维特比解码 当K为7 时 供大家参考Convolutional encoding and Viterbi decoding with k 7 rate 1 2 -convolutional coding and Viterbi decoding when K 7:00 for reference convolutional encoding and Viterbi decoding with k 1 2 7 rate
Platform: | Size: 253952 | Author: 周小川 | Hits:

[VHDL-FPGA-Verilogcrc_16

Description: 利用verilog实现的一个(2,1,2)卷积码的编码器,很有用的哟!-Verilog realize the use of a (2,1,2) convolutional code encoder, yo useful!
Platform: | Size: 1024 | Author: 刘横 | Hits:

[Communicationviterbidecoder

Description: 2,1,7卷积码的viterbi译码算法的FPGA实现,内容详细,而且附带源代码。-2,1,7 convolutional code of viterbi decoding algorithm realize the FPGA and detailed, but the source code attached.
Platform: | Size: 1665024 | Author: Wayne | Hits:

[VHDL-FPGA-VerilogBCDconv

Description: BCD编码的Verilog HDL程序,能够实现BCD编码与卷积码。-BCD-coded Verilog HDL procedures, to achieve BCD encoding and convolutional codes.
Platform: | Size: 109568 | Author: 张明 | Hits:

[VHDL-FPGA-Verilog219encode

Description: (219)卷积编码的verilog hdl源代码,很有用的啊,-(219) convolutional coding verilog hdl source code, very useful, ah,
Platform: | Size: 1024 | Author: 骆军 | Hits:

[VHDL-FPGA-Verilogviterbi

Description: (2,1,9)卷积编解码器,译码部分采用Vitebi译码算法,设计使用Verilog HDL语言,在Modelsim平台下仿真通过-(2,1,9) convolutional codec, decoding part decoding algorithm used Vitebi design using Verilog HDL language simulation in ModelSim platform through
Platform: | Size: 10240 | Author: rxl | Hits:

[VHDL-FPGA-Verilogviterbi

Description: 卷积码编码及其Viterbi译码的实现-Convolutional code encoder and Viterbi decoding to achieve
Platform: | Size: 256000 | Author: mediative | Hits:

[VHDL-FPGA-VerilogConvolution

Description:
Platform: | Size: 104448 | Author: 龚阳 | Hits:

[Communication-Mobileviter2

Description: verilog实现卷积码的译码,viterbi算法-verilog to achieve the decoding convolutional codes, viterbi algorithm
Platform: | Size: 8192 | Author: 张洪 | Hits:

[Otherinterleaver

Description: This is a convolutional interleaver code written in verilog, the ram is sram with ram_ncs, ram_nwe, ram_noe characters.
Platform: | Size: 2048 | Author: tomsontiger | Hits:

[VHDL-FPGA-Verilogconv_enc

Description: 这是一个用VERILOG HDL编写的卷积码程序-This is a VERILOG HDL with the preparation of procedures for the convolutional codes
Platform: | Size: 1024 | Author: chenxiaoming | Hits:

[Communication-Mobilefangzhen

Description: 卷积码和循环码的verilog编码以及仿真结果图,-Convolutional codes and cyclic codes and the coding verilog simulation results map
Platform: | Size: 16384 | Author: 小小 | Hits:

[VHDL-FPGA-Verilogviterbi

Description: verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
Platform: | Size: 3072 | Author: xiongherui | Hits:

[VHDL-FPGA-Veriloginterleaver

Description: 交织编码器的verilog代码实现,此外有testbench和波形。-the verilog code for the interleave encoder, with the testbench code and waveform screen print.
Platform: | Size: 64512 | Author: Yang Jie | Hits:

[VHDL-FPGA-Verilogconv_enc

Description: 该程序文档是用verilog实现卷积码的编码和解码,报告中从原理进行详细的分析,代码程序也有详细的备注-The program document is to achieve convolutional code with verilog coding and decoding, the report analysises the principle ,the code also has a detailed program note.
Platform: | Size: 217088 | Author: 飞扬奇迹 | Hits:

[VHDL-FPGA-VerilogViterbi_verilog

Description: 在ISE环境下用Verilog语言编写的卷积码程序及Viterbi译码程序-Under the ISE Verilog language with procedures and Viterbi convolutional code decoding program
Platform: | Size: 5121024 | Author: lxz | Hits:

[VHDL-FPGA-VerilogVerilogHDL

Description: 基于verilog convolutional coding 的卷积编码-verilog convolutional coding
Platform: | Size: 171008 | Author: xks | Hits:

[VHDL-FPGA-Verilogverilog-juanjima

Description: 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and its error correction performance is often better than the block code, and (2,1,7) convolutional code has been used in modern satellite communication system. Viterbi decoding algorithm can maximize the performance of convolutional codes. Here is the Verilog HDL design (2,1,7) convolutional code encoder module and decoder module based on Viterbi algorithm, the decoder is designed using the parallel structure and the decoding speed is fast.
Platform: | Size: 10240 | Author: 邓博于、 | Hits:

[MPInvdla-vmod

Description: 卷积神经网络实现的参考,借助于英伟达的开源硬件加速器。(Convolutional neural network reference, with open source hardware accelerator in nvidia.)
Platform: | Size: 3942400 | Author: ensureglitte | Hits:

[VHDL-FPGA-VerilogHardware-CNN-master

Description: Convolutional neural network code for fpga
Platform: | Size: 300032 | Author: anand97 | Hits:
« 12 »

CodeBus www.codebus.net